electronical
Advanced Member level 4
hello,
I have aproblem with ISIM
I have aprogram that the input of it is array of std_logic_vector.
I wrote a testB for it.in this TB I used an array of integer and convert it to std_logic_vector(5 downto 0) ,when I synt it and then use ISIm ,in the ISIM all negative values are shown "37777777767"(in decimal)
I use thesee library
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_signed.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
I have aproblem with ISIM
I have aprogram that the input of it is array of std_logic_vector.
I wrote a testB for it.in this TB I used an array of integer and convert it to std_logic_vector(5 downto 0) ,when I synt it and then use ISIm ,in the ISIM all negative values are shown "37777777767"(in decimal)
I use thesee library
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.std_logic_signed.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;