Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with Modelsim and xilinx...PLZ HELP :(

Status
Not open for further replies.

lmtg

Member level 3
Joined
Jan 25, 2009
Messages
65
Helped
4
Reputation
8
Reaction score
2
Trophy points
1,288
Activity points
1,686
Compiling simprim library in xilinx I get:

--> Compiling verilog simprim library
> Simprim compiled to C:\Xilinx92i\verilog\mti_se\simprims_ver
> Log file C:\Xilinx92i\verilog\mti_se\simprims_ver\cxl_simprim.log generated
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[simprims_ver]: No error(s), no warning(s)
Output directory => 'C:\Xilinx92i\vhdl\mti_se'

--> Compiling vhdl simprim library
> Simprim compiled to C:\Xilinx92i\vhdl\mti_se\simprim
> Log file C:\Xilinx92i\vhdl\mti_se\simprim\cxl_simprim.log generated
> Library mapping successful, setup file(s) modelsim.ini updated

compxlib[simprim]: 8 error(s), 1047 warning(s)

Log file (compxlib.log) generated.


Process "Compile HDL Simulation Libraries" failed

Q. Anybody has any idea what is wrong with simprim for vhdl...

I ignore the errors and simulate on modelsim. It in turn gives me the warnings:

** Warning: (vsim-3473) Component instance "madd_s_expsum_addsub0000_xor_1_q : x_xor2" is not bound.
# Time: 0 ps Iteration: 0 Region: /addexpunsig File: netgen/translate/AddExpUnsig_translate.vhd
# ** Warning: (vsim-3473) Component instance "madd_s_expsum_addsub0000_xor_2_q : x_xor2" is not bound.
# Time: 0 ps Iteration: 0 Region: /addexpunsig File: netgen/translate/AddExpUnsig_translate.vhd
# ** Warning: (vsim-3473) Component instance "madd_s_expsum_addsub0000_xor_3_q : x_xor2" is not bound

Are these errors related?? How can I solve them??
 

Hi,

> Q. Anybody has any idea what is wrong with simprim for vhdl...

Not without the error messages. Maybe you can post the log file

> Are these errors related?? How can I solve them??

Probably, depending on the library and use statement above the architecture/entity. The x_xor component has been specified in one of the Xilinx libraries: simprim, unisim or XilinxCoreLib. When these libraries have not been compiled yet, the simulator can not simulate this component and gives the warning "is not bound".

Devas
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top