Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem with activating clock in Spartan-3E

Status
Not open for further replies.

BooM

Member level 4
Joined
Aug 30, 2007
Messages
73
Helped
5
Reputation
10
Reaction score
3
Trophy points
1,288
Activity points
1,687
hi guys!

I have a spartan-3e and I am trying to activate the clock in order to give Pulses
repeatedly. I have read the manual and some examples but something it is going wrong.

Could you advise me please?

I use the software from Xilinx ISE 8.2i

Thank you in advance!!!
 

Re: clk in spartan-3e

have u checked all the interfaces on board between spartan-3e and clock... i think u need to do. and check for vcc and gnd.. what is the freq of that crystal and check the data sheet of fpga max it can support...


regards
kil
 

clk in spartan-3e

Which Spartan-3E board do you have?
Please clarify "something is going wrong".
Which design language are you using? Verilog, VHDL, something else ...
 

Re: clk in spartan-3e

Did you try to us ethe global clock in the board...?
 

Re: clk in spartan-3e

Thank you guys for your replies!

The problem solved !
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top