Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

problem in vhdl code : HDLParsers:164

Status
Not open for further replies.

chaitanya.531

Member level 1
Joined
Feb 27, 2012
Messages
39
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,665
Hey Folks,
plz
Need a little help here with my VHDL code.

I'm new to VHDL so please bear with me.

I'm getting the following Error messages for my code posted below. (I'm using the xilinx 8.1.03i and modelsim )
When I run check syntax, the following is displayed:
ERROR:HDLParsers:164 - "C:/Xilinx/r1/rr.vhd" Line 65. parse error, unexpected ROW, expecting OPENPAR or TICK or LSQBRACK
ERROR:HDLParsers:164 - "C:/Xilinx/r1/rr.vhd" Line 143. parse error, unexpected PROCESS, expecting IF

the code is


Code VHDL - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
 
entity ram is
    Port ( clk     : in  STD_LOGIC;
           clr     : in  STD_LOGIC;
           key_rdy : in  STD_LOGIC;
    
        skey0   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey1   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey2 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey3 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey4 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey5   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey6   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey7 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey8   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey9   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey10 : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey11 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey12 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey13 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey14 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey15 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey16 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey17 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey18 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey19 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey20    : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey21  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey22  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey23  : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey24  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey25  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
 
        skey_0  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_1  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_2    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_3    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_4    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_5  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_6  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_7    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_8  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_9  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_10: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_11: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_12: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_13: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_14: out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_15: out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_16: out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_17: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_18: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_19: out STD_LOGIC_VECTOR (31 DOWNTO 0);
          skey_20: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_21: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_22: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_23: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_24: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));
end  ram;
 
architecture Behavioral of r1 is
   TYPE   s_ram IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR (31 DOWNTO 0);
   signal  s_arr_tmp: s_ram;
  
  
begin
 
      skey0  => s_arr_tmp(0);
        skey1  => s_arr_tmp(1);
        skey2  => s_arr_tmp(2);
        skey3  => s_arr_tmp(3);
        skey4  =>s_arr_tmp(4);
      skey5  => s_arr_tmp(5);
        skey6  => s_arr_tmp(6);
        skey7  => s_arr_tmp(7);
        skey8  => s_arr_tmp(8);
        skey9  =>s_arr_tmp(9);
        skey10 => s_arr_tmp(10);
        skey11 => s_arr_tmp(11);
        skey12 => s_arr_tmp(12);
        skey13 => s_arr_tmp(13);
        skey14 => s_arr_tmp(14);
        skey15 => s_arr_tmp(15);
        skey16 => s_arr_tmp(16);
        skey17 => s_arr_tmp(17);
        skey18 => s_arr_tmp(18);
        skey19 => s_arr_tmp(19);
        skey20 => s_arr_tmp(20);
        skey21 => s_arr_tmp(21);
        skey22 => s_arr_tmp(22);
        skey23 => s_arr_tmp(23);
        skey24 => s_arr_tmp(24);
        skey25 => s_arr_tmp(25);
 
PROCESS (clk,clr) 
BEGIN
     if (clr='0')then 
        skey_0 <= x"00000000";
         skey_1 <=x"00000000";
         skey_2 <=x"00000000";
         skey_3 <= x"00000000";
         skey_4 <=x"00000000";
       skey_5 <= x"00000000";
         skey_6 <=x"00000000";
         skey_7 <=x"00000000";
         skey_8 <=x"00000000";
         skey_9 <=x"00000000";
         skey_10 <=x"00000000";
         skey_11 <= x"00000000";
         skey_12 <= x"00000000";
         skey_13 <=x"00000000";
         skey_14 <=x"00000000";
         skey_15 <= x"00000000";
         skey_16 <=x"00000000";
         skey_17 <=x"00000000";
         skey_18 <=x"00000000";
         skey_19 <=x"00000000";
         skey_20 <=x"00000000";
         skey_21 <=x"00000000";
         skey_22 <=x"00000000";
         skey_23 <=x"00000000";
         skey_24 <=x"00000000";
         skey_25 <= x"00000000";
     else if (clr ='1') then
      IF(clk'EVENT AND clk='1') then
      if (rising_edge(key_rdy)and (key_rdy ='1'))  then
       skey_0 <= s_arr_tmp(0);
         skey_1 <= s_arr_tmp(1);
         skey_2 <= s_arr_tmp(2);
         skey_3 <= s_arr_tmp(3);
         skey_4 <= s_arr_tmp(4);
       skey_5 <= s_arr_tmp(5);
         skey_6 <= s_arr_tmp(6);
         skey_7 <= s_arr_tmp(7);
         skey_8 <= s_arr_tmp(8);
         skey_9 <= s_arr_tmp(9);
         skey_10 <= s_arr_tmp(10);
         skey_11 <= s_arr_tmp(11);
         skey_12 <= s_arr_tmp(12);
         skey_13 <= s_arr_tmp(13);
         skey_14 <= s_arr_tmp(14);
         skey_15 <= s_arr_tmp(15);
         skey_16 <= s_arr_tmp(16);
         skey_17 <= s_arr_tmp(17);
         skey_18 <= s_arr_tmp(18);
         skey_19 <= s_arr_tmp(19);
         skey_20 <= s_arr_tmp(20);
         skey_21 <= s_arr_tmp(21);
         skey_22 <= s_arr_tmp(22);
         skey_23 <= s_arr_tmp(23);
         skey_24 <= s_arr_tmp(24);
         skey_25 <= s_arr_tmp(25);
end if ;
end if ;
end process;
end Behavioral;

 
Last edited by a moderator:

I'm not completely sure (since you didn't give line numbers) but there are a few obvious problems:

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));

Should be

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0);
);

Code:
skey0 => s_arr_tmp(0);

What are you trying to do here? => isn't an assignment operator. As far as I'm aware (I don't do VHDL) it can only be used for comparison (with 'case/when' or 'others').

Code:
IF(clk'EVENT AND clk='1') then
if (rising_edge(key_rdy)and (key_rdy ='1')) then

This is not synthesis able code. clk is in your process sensitivity list, so you can check for rising edges on it, but you can't wait until a clk rising edge is detected, and then see if there's also a rising edge of key_rdy happening. What if the two events happen femtoseconds apart? There isn't any hardware that can do this sort of comparison. Perhaps you just want to check if key_rdy is high. If you really want to detect rising edges, you will need to use some additional logic to register and acknowledge them.

I'm not completely sure what you're actually trying to describe with this code - what's your specification? Your ram entity has no outputs, so all of the logic will be deemed redundant by your synthesis tools and removed.
 

I'm not completely sure (since you didn't give line numbers) but there are a few obvious problems:

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));

Should be

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0);
);

Code:
skey0 => s_arr_tmp(0);

What are you trying to do here? => isn't an assignment operator. As far as I'm aware (I don't do VHDL) it can only be used for comparison (with 'case/when' or 'others').

Code:
IF(clk'EVENT AND clk='1') then
if (rising_edge(key_rdy)and (key_rdy ='1')) then

This is not synthesis able code. clk is in your process sensitivity list, so you can check for rising edges on it, but you can't wait until a clk rising edge is detected, and then see if there's also a rising edge of key_rdy happening. What if the two events happen femtoseconds apart? There isn't any hardware that can do this sort of comparison. Perhaps you just want to check if key_rdy is high. If you really want to detect rising edges, you will need to use some additional logic to register and acknowledge them.

I'm not completely sure what you're actually trying to describe with this code - what's your specification? Your ram entity has no outputs, so all of the logic will be deemed redundant by your synthesis tools and removed.


excuse me sir

i want to store skey0 to skey25 in a memory and send it through skey_0 to skey_25 when key_rdy is high
skey0 to skey25 as inputs to memory and these are 32 bit length
 

Hi Chaitanya,

architecture Behavioral of r1 is
[/syntax]

Actually you need to write the entity name instead of "r1".

Means replace the above quote as like this...

architecture Behavioral of ram is

One another thing i noted is that how you can assign the input assigns to the signal like this....

Its wrong ....

Code:
      skey0  => s_arr_tmp(0);
        skey1  => s_arr_tmp(1);
        skey2  => s_arr_tmp(2);
        skey3  => s_arr_tmp(3);
        skey4  =>s_arr_tmp(4);
      skey5  => s_arr_tmp(5);
        skey6  => s_arr_tmp(6);
        skey7  => s_arr_tmp(7);
        skey8  => s_arr_tmp(8);
        skey9  =>s_arr_tmp(9);
        skey10 => s_arr_tmp(10);
        skey11 => s_arr_tmp(11);
        skey12 => s_arr_tmp(12);
        skey13 => s_arr_tmp(13);
        skey14 => s_arr_tmp(14);
        skey15 => s_arr_tmp(15);
        skey16 => s_arr_tmp(16);
        skey17 => s_arr_tmp(17);
        skey18 => s_arr_tmp(18);
        skey19 => s_arr_tmp(19);
        skey20 => s_arr_tmp(20);
        skey21 => s_arr_tmp(21);
        skey22 => s_arr_tmp(22);
        skey23 => s_arr_tmp(23);
        skey24 => s_arr_tmp(24);
        skey25 => s_arr_tmp(25);

We should assign the signal like this...

Code:
s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
		s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;

Hope this will help....

---------- Post added at 15:10 ---------- Previous post was at 15:02 ----------

Hi Joelby,

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));

Should be

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0);
);

The first code is right, There is wrong in the 2nd code, means after the " skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0); " the " ; " is not needed at the last port of the entity....

So the right thing is

j [CODE said:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));[/CODE]

OR

Code:
skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0)
);



Code:

IF(clk'EVENT AND clk='1') then
if (rising_edge(key_rdy)and (key_rdy ='1')) then

This is not synthesis able code.

The thing is that the key_rdy is not in he sensitivity list, but we can't say that it is not synthesis able.... i will synthesis the code...
 

Hi Chaitanya,



Actually you need to write the entity name instead of "r1".

Means replace the above quote as like this...

architecture Behavioral of ram is

One another thing i noted is that how you can assign the input assigns to the signal like this....

Its wrong ....

Code:
      skey0  => s_arr_tmp(0);
        skey1  => s_arr_tmp(1);
        skey2  => s_arr_tmp(2);
        skey3  => s_arr_tmp(3);
        skey4  =>s_arr_tmp(4);
      skey5  => s_arr_tmp(5);
        skey6  => s_arr_tmp(6);
        skey7  => s_arr_tmp(7);
        skey8  => s_arr_tmp(8);
        skey9  =>s_arr_tmp(9);
        skey10 => s_arr_tmp(10);
        skey11 => s_arr_tmp(11);
        skey12 => s_arr_tmp(12);
        skey13 => s_arr_tmp(13);
        skey14 => s_arr_tmp(14);
        skey15 => s_arr_tmp(15);
        skey16 => s_arr_tmp(16);
        skey17 => s_arr_tmp(17);
        skey18 => s_arr_tmp(18);
        skey19 => s_arr_tmp(19);
        skey20 => s_arr_tmp(20);
        skey21 => s_arr_tmp(21);
        skey22 => s_arr_tmp(22);
        skey23 => s_arr_tmp(23);
        skey24 => s_arr_tmp(24);
        skey25 => s_arr_tmp(25);

We should assign the signal like this...

Code:
s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
		s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;

Hope this will help....

---------- Post added at 15:10 ---------- Previous post was at 15:02 ----------

Hi Joelby,



The first code is right, There is wrong in the 2nd code, means after the " skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0); " the " ; " is not needed at the last port of the entity....

So the right thing is







The thing is that the key_rdy is not in he sensitivity list, but we can't say that it is not synthesis able.... i will synthesis the code...

it working thank uuuuuu
 

it complied without errors
but systhesis goes waring....

WARNING:Xst:2110 - Clock of register <skey_10> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_11> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_12> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_13> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_14> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_20> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_15> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_21> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_16> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_22> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_17> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_23> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_18> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_24> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_19> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_25> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_0> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_1> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_2> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_3> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_4> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_5> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_6> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_7> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_8> seems to be also used in the data or control logic of that element.
WARNING:Xst:2110 - Clock of register <skey_9> seems to be also used in the data or control logic of that element.


my code is
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
 
entity ram is
    Port ( clk     : in  STD_LOGIC;
           clr     : in  STD_LOGIC;
           key_rdy : in  STD_LOGIC;
    
        skey0   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey1   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey2 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey3 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey4 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey5   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey6   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey7 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey8   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey9   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey10 : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey11 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey12 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey13 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey14 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey15 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey16 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey17 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey18 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey19 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey20    : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey21  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey22  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey23  : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey24  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey25  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
 
        skey_0  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_1  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_2    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_3    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_4    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_5  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_6  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_7    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_8  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_9  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_10: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_11: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_12: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_13: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_14: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_15: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_16: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_17: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_18: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_19: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_20: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_21: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_22: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_23: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_24: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));
end  ram;
 
architecture Behavioral of ram is
   TYPE   s_ram IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR (31 DOWNTO 0);
   signal  s_arr_tmp: s_ram;
  
  
begin
 
        s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
         s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;
PROCESS (clk,clr,key_rdy) 
BEGIN
           if clk = '1' then
     if (key_rdy='0')and (clr='0') then
        skey_0 <= x"00000000";
         skey_1 <=x"00000000";
         skey_2 <=x"00000000";
         skey_3 <= x"00000000";
         skey_4 <=x"00000000";
         skey_5 <= x"00000000";
         skey_6 <=x"00000000";
         skey_7 <=x"00000000";
         skey_8 <=x"00000000";
         skey_9 <=x"00000000";
         skey_10 <=x"00000000";
         skey_11 <= x"00000000";
         skey_12 <= x"00000000";
         skey_13 <=x"00000000";
         skey_14 <=x"00000000";
         skey_15 <= x"00000000";
         skey_16 <=x"00000000";
         skey_17 <=x"00000000";
         skey_18 <=x"00000000";
         skey_19 <=x"00000000";
         skey_20 <=x"00000000";
         skey_21 <=x"00000000";
         skey_22 <=x"00000000";
         skey_23 <=x"00000000";
         skey_24 <=x"00000000";
         skey_25 <= x"00000000";
     else if (key_rdy='0')and (clr='1') theN
        skey_0 <= x"00000000";
         skey_1 <=x"00000000";
         skey_2 <=x"00000000";
         skey_3 <= x"00000000";
         skey_4 <=x"00000000";
         skey_5 <= x"00000000";
         skey_6 <=x"00000000";
         skey_7 <=x"00000000";
         skey_8 <=x"00000000";
         skey_9 <=x"00000000";
         skey_10 <=x"00000000";
         skey_11 <= x"00000000";
         skey_12 <= x"00000000";
         skey_13 <=x"00000000";
         skey_14 <=x"00000000";
         skey_15 <= x"00000000";
         skey_16 <=x"00000000";
         skey_17 <=x"00000000";
         skey_18 <=x"00000000";
         skey_19 <=x"00000000";
         skey_20 <=x"00000000";
         skey_21 <=x"00000000";
         skey_22 <=x"00000000";
         skey_23 <=x"00000000";
         skey_24 <=x"00000000";
         skey_25 <= x"00000000";
       else if (key_rdy ='1') and (clr='0') then
        skey_0 <= x"00000000";
         skey_1 <=x"00000000";
         skey_2 <=x"00000000";
         skey_3 <= x"00000000";
         skey_4 <=x"00000000";
         skey_5 <= x"00000000";
         skey_6 <=x"00000000";
         skey_7 <=x"00000000";
         skey_8 <=x"00000000";
         skey_9 <=x"00000000";
         skey_10 <=x"00000000";
         skey_11 <= x"00000000";
         skey_12 <= x"00000000";
         skey_13 <=x"00000000";
         skey_14 <=x"00000000";
         skey_15 <= x"00000000";
         skey_16 <=x"00000000";
         skey_17 <=x"00000000";
         skey_18 <=x"00000000";
         skey_19 <=x"00000000";
         skey_20 <=x"00000000";
         skey_21 <=x"00000000";
         skey_22 <=x"00000000";
         skey_23 <=x"00000000";
         skey_24 <=x"00000000";
         skey_25 <= x"00000000";
       else if  (rising_edge(key_rdy))AND (key_rdy ='1') and (clr='1') then
        skey_0 <= s_arr_tmp(0);
         skey_1 <= s_arr_tmp(1);
         skey_2 <= s_arr_tmp(2);
         skey_3 <= s_arr_tmp(3);
         skey_4 <= s_arr_tmp(4);
         skey_5 <= s_arr_tmp(5);
         skey_6 <= s_arr_tmp(6);
         skey_7 <= s_arr_tmp(7);
         skey_8 <= s_arr_tmp(8);
         skey_9 <= s_arr_tmp(9);
         skey_10 <= s_arr_tmp(10);
         skey_11 <= s_arr_tmp(11);
         skey_12 <= s_arr_tmp(12);
         skey_13 <= s_arr_tmp(13);
         skey_14 <= s_arr_tmp(14);
         skey_15 <= s_arr_tmp(15);
         skey_16 <= s_arr_tmp(16);
         skey_17 <= s_arr_tmp(17);
         skey_18 <= s_arr_tmp(18);
         skey_19 <= s_arr_tmp(19);
         skey_20 <= s_arr_tmp(20);
         skey_21 <= s_arr_tmp(21);
         skey_22 <= s_arr_tmp(22);
         skey_23 <= s_arr_tmp(23);
         skey_24 <= s_arr_tmp(24);
         skey_25 <= s_arr_tmp(25);
end if ;
end if ;
end if ;
end if;
end if;
end process;
end Behavioral;
 

Hi Chaitanya,

I think this is you are expecting the design...

Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
 
entity ram is
    Port ( clk     : in  STD_LOGIC;
           clr     : in  STD_LOGIC;
           key_rdy : in  STD_LOGIC;
    
        skey0   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey1   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey2 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey3 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey4 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey5   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey6   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey7 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey8   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey9   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey10 : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey11 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey12 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey13 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey14 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey15 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey16 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey17 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey18 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey19 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey20    : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey21  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey22  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey23  : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey24  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey25  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
 
        skey_0  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_1  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_2    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_3    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_4    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_5  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_6  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_7    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_8  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_9  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_10: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_11: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_12: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_13: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_14: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_15: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_16: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_17: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_18: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_19: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_20: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_21: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_22: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_23: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_24: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));
end  ram;
 
architecture Behavioral of ram is
   TYPE   s_ram IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR (31 DOWNTO 0);
   signal  s_arr_tmp: s_ram;
  
  
begin
 
        s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
         s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;
PROCESS (clk,clr,key_rdy) 
BEGIN
    if rising_edge(clk) then
     if (key_rdy='0') or (clr='1') then
        skey_0 <= x"00000000";
         skey_1 <=x"00000000";
         skey_2 <=x"00000000";
         skey_3 <= x"00000000";
         skey_4 <=x"00000000";
         skey_5 <= x"00000000";
         skey_6 <=x"00000000";
         skey_7 <=x"00000000";
         skey_8 <=x"00000000";
         skey_9 <=x"00000000";
         skey_10 <=x"00000000";
         skey_11 <= x"00000000";
         skey_12 <= x"00000000";
         skey_13 <=x"00000000";
         skey_14 <=x"00000000";
         skey_15 <= x"00000000";
         skey_16 <=x"00000000";
         skey_17 <=x"00000000";
         skey_18 <=x"00000000";
         skey_19 <=x"00000000";
         skey_20 <=x"00000000";
         skey_21 <=x"00000000";
         skey_22 <=x"00000000";
         skey_23 <=x"00000000";
         skey_24 <=x"00000000";
         skey_25 <= x"00000000";
     else (key_rdy='1') then
        skey_0 <= s_arr_tmp(0);
         skey_1 <= s_arr_tmp(1);
         skey_2 <= s_arr_tmp(2);
         skey_3 <= s_arr_tmp(3);
         skey_4 <= s_arr_tmp(4);
         skey_5 <= s_arr_tmp(5);
         skey_6 <= s_arr_tmp(6);
         skey_7 <= s_arr_tmp(7);
         skey_8 <= s_arr_tmp(8);
         skey_9 <= s_arr_tmp(9);
         skey_10 <= s_arr_tmp(10);
         skey_11 <= s_arr_tmp(11);
         skey_12 <= s_arr_tmp(12);
         skey_13 <= s_arr_tmp(13);
         skey_14 <= s_arr_tmp(14);
         skey_15 <= s_arr_tmp(15);
         skey_16 <= s_arr_tmp(16);
         skey_17 <= s_arr_tmp(17);
         skey_18 <= s_arr_tmp(18);
         skey_19 <= s_arr_tmp(19);
         skey_20 <= s_arr_tmp(20);
         skey_21 <= s_arr_tmp(21);
         skey_22 <= s_arr_tmp(22);
         skey_23 <= s_arr_tmp(23);
         skey_24 <= s_arr_tmp(24);
         skey_25 <= s_arr_tmp(25);
	 end if;
	end if;
end process;
end Behavioral;

the output is cleared always when the CLR is active means when CLR = '1'
Also the output is cleared when the CLR = '0' but the KEY_RDY = '0'

So the output is active only when the CLR = '0' and KEY_RDY = '1'.

Try this..
 

this entity is working

but it used as a component in the design this gives errors as below

my block name used in design is r1

Code:
WARNING:Xst:1710 - FF/Latch  <skey_16_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_16_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_21_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_18_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_22_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_23_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_17_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_12_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_11_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_10_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_15_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_20_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_13_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_14_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_5_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_4_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_9_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_6_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_8_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_7_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_25_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_19_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_24_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_3_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_2_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_1> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_0> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_31> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_30> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_29> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_28> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_0_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_27> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_26> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_25> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_24> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_23> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_22> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_21> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_20> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_19> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_18> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_17> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_16> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_15> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_14> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_13> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_12> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_11> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_10> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_9> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_8> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_7> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_6> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_5> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_4> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_3> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1710 - FF/Latch  <skey_1_2> (without init value) has a constant value of 0 in block <R1>.
WARNING:Xst:1290 - Hierarchical block <k1> is unconnected in block <rc5soc>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_9_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_8_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_7_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_6_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_25_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_5_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_19_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_24_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_4_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_18_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_23_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_3_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_17_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_22_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_2_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_16_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_21_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_1_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_15_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_20_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_0_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_14_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_13_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_12_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_11_0> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_31> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_30> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_29> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_28> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_27> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_26> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_25> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_24> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_23> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_22> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_21> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_20> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_19> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_18> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_17> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_16> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_15> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_14> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_13> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_12> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_11> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_10> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_9> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_8> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_7> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_6> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_5> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_4> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_3> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_2> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_1> is unconnected in block <R1>.
WARNING:Xst:1291 - FF/Latch <s_arr_tmp_10_0> is unconnected in block <R1>
 
Last edited by a moderator:

you really didnt need to post all those errors - they are fairly self explanitory. Somnething has been left unconnected.
 

Hi,

Try this...
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
 
entity ram is
    Port ( clk     : in  STD_LOGIC;
           clr     : in  STD_LOGIC;
           key_rdy : in  STD_LOGIC;
    
        skey0   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey1   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey2 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey3 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey4 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey5   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey6   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey7 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey8   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey9   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey10 : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey11 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey12 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey13 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey14 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey15 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey16 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey17 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey18 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey19 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey20    : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey21  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey22  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey23  : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey24  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey25  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
 
        skey_0  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_1  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_2    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_3    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_4    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_5  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_6  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_7    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_8  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_9  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_10: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_11: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_12: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_13: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_14: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_15: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_16: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_17: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_18: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_19: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_20: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_21: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_22: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_23: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_24: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));
end  ram;
 
architecture Behavioral of ram is
   TYPE   s_ram IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR (31 DOWNTO 0);
   signal  s_arr_tmp: s_ram;
  
  
begin

PROCESS(clk, clr)
begin
	if (clr = '1') then
        s_arr_tmp(0)  <= x"00000000";
        s_arr_tmp(1)  <= x"00000000";
        s_arr_tmp(2)  <= x"00000000";
        s_arr_tmp(3)  <= x"00000000";
        s_arr_tmp(4)  <= x"00000000";
        s_arr_tmp(5)  <= x"00000000";
        s_arr_tmp(6)  <= x"00000000";
        s_arr_tmp(7)  <= x"00000000";
        s_arr_tmp(8)  <= x"00000000";
        s_arr_tmp(9)  <= x"00000000";
        s_arr_tmp(10) <= x"00000000";
        s_arr_tmp(11) <= x"00000000";
        s_arr_tmp(12) <= x"00000000";
        s_arr_tmp(13) <= x"00000000";
        s_arr_tmp(14) <= x"00000000";
        s_arr_tmp(15) <= x"00000000";
        s_arr_tmp(16) <= x"00000000";
        s_arr_tmp(17) <= x"00000000";
        s_arr_tmp(18) <= x"00000000";
        s_arr_tmp(19) <= x"00000000";
        s_arr_tmp(20) <= x"00000000";
        s_arr_tmp(21) <= x"00000000";
        s_arr_tmp(22) <= x"00000000";
        s_arr_tmp(23) <= x"00000000";
        s_arr_tmp(24) <= x"00000000";
        s_arr_tmp(25) <= x"00000000";
	elsif(rising_edge(clk)) then
        s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
        s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;
	end if;
end process;

PROCESS (clk,clr,key_rdy) 
BEGIN
		if (clr = '1') then
			 skey_0 <= x"00000000";
			 skey_1 <=x"00000000";
			 skey_2 <=x"00000000";
			 skey_3 <= x"00000000";
			 skey_4 <=x"00000000";
			 skey_5 <= x"00000000";
			 skey_6 <=x"00000000";
			 skey_7 <=x"00000000";
			 skey_8 <=x"00000000";
			 skey_9 <=x"00000000";
			 skey_10 <=x"00000000";
			 skey_11 <= x"00000000";
			 skey_12 <= x"00000000";
			 skey_13 <=x"00000000";
			 skey_14 <=x"00000000";
			 skey_15 <= x"00000000";
			 skey_16 <=x"00000000";
			 skey_17 <=x"00000000";
			 skey_18 <=x"00000000";
			 skey_19 <=x"00000000";
			 skey_20 <=x"00000000";
			 skey_21 <=x"00000000";
			 skey_22 <=x"00000000";
			 skey_23 <=x"00000000";
			 skey_24 <=x"00000000";
			 skey_25 <= x"00000000";
		elsif rising_edge(clk) then
			if (key_rdy='0')then
			 skey_0 <= x"00000000";
			 skey_1 <=x"00000000";
			 skey_2 <=x"00000000";
			 skey_3 <= x"00000000";
			 skey_4 <=x"00000000";
			 skey_5 <= x"00000000";
			 skey_6 <=x"00000000";
			 skey_7 <=x"00000000";
			 skey_8 <=x"00000000";
			 skey_9 <=x"00000000";
			 skey_10 <=x"00000000";
			 skey_11 <= x"00000000";
			 skey_12 <= x"00000000";
			 skey_13 <=x"00000000";
			 skey_14 <=x"00000000";
			 skey_15 <= x"00000000";
			 skey_16 <=x"00000000";
			 skey_17 <=x"00000000";
			 skey_18 <=x"00000000";
			 skey_19 <=x"00000000";
			 skey_20 <=x"00000000";
			 skey_21 <=x"00000000";
			 skey_22 <=x"00000000";
			 skey_23 <=x"00000000";
			 skey_24 <=x"00000000";
			 skey_25 <= x"00000000";
			else 
			 skey_0 <= s_arr_tmp(0);
			 skey_1 <= s_arr_tmp(1);
			 skey_2 <= s_arr_tmp(2);
			 skey_3 <= s_arr_tmp(3);
			 skey_4 <= s_arr_tmp(4);
			 skey_5 <= s_arr_tmp(5);
			 skey_6 <= s_arr_tmp(6);
			 skey_7 <= s_arr_tmp(7);
			 skey_8 <= s_arr_tmp(8);
			 skey_9 <= s_arr_tmp(9);
			 skey_10 <= s_arr_tmp(10);
			 skey_11 <= s_arr_tmp(11);
			 skey_12 <= s_arr_tmp(12);
			 skey_13 <= s_arr_tmp(13);
			 skey_14 <= s_arr_tmp(14);
			 skey_15 <= s_arr_tmp(15);
			 skey_16 <= s_arr_tmp(16);
			 skey_17 <= s_arr_tmp(17);
			 skey_18 <= s_arr_tmp(18);
			 skey_19 <= s_arr_tmp(19);
			 skey_20 <= s_arr_tmp(20);
			 skey_21 <= s_arr_tmp(21);
			 skey_22 <= s_arr_tmp(22);
			 skey_23 <= s_arr_tmp(23);
			 skey_24 <= s_arr_tmp(24);
			 skey_25 <= s_arr_tmp(25);
			end if;
		end if;
end process;
end Behavioral;
 
Hi,

Try this...
Code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
 
 
 
entity ram is
    Port ( clk     : in  STD_LOGIC;
           clr     : in  STD_LOGIC;
           key_rdy : in  STD_LOGIC;
    
        skey0   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey1   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey2 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey3 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey4 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey5   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey6   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey7 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey8   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey9   : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey10 : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey11 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey12 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey13 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey14 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey15 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey16 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey17 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey18 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey19 : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey20    : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey21  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey22  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey23  : in STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey24  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey25  : in STD_LOGIC_VECTOR (31 DOWNTO 0);
 
        skey_0  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_1  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_2    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_3    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_4    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_5  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_6  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_7    : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_8  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_9  : out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_10: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_11: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_12: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_13: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_14: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_15: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_16: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_17: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_18: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_19: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_20: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_21: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_22: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_23: out STD_LOGIC_VECTOR (31 DOWNTO 0); 
        skey_24: out STD_LOGIC_VECTOR (31 DOWNTO 0);
        skey_25: out STD_LOGIC_VECTOR (31 DOWNTO 0));
end  ram;
 
architecture Behavioral of ram is
   TYPE   s_ram IS ARRAY (0 TO 25) OF STD_LOGIC_VECTOR (31 DOWNTO 0);
   signal  s_arr_tmp: s_ram;
  
  
begin

PROCESS(clk, clr)
begin
	if (clr = '1') then
        s_arr_tmp(0)  <= x"00000000";
        s_arr_tmp(1)  <= x"00000000";
        s_arr_tmp(2)  <= x"00000000";
        s_arr_tmp(3)  <= x"00000000";
        s_arr_tmp(4)  <= x"00000000";
        s_arr_tmp(5)  <= x"00000000";
        s_arr_tmp(6)  <= x"00000000";
        s_arr_tmp(7)  <= x"00000000";
        s_arr_tmp(8)  <= x"00000000";
        s_arr_tmp(9)  <= x"00000000";
        s_arr_tmp(10) <= x"00000000";
        s_arr_tmp(11) <= x"00000000";
        s_arr_tmp(12) <= x"00000000";
        s_arr_tmp(13) <= x"00000000";
        s_arr_tmp(14) <= x"00000000";
        s_arr_tmp(15) <= x"00000000";
        s_arr_tmp(16) <= x"00000000";
        s_arr_tmp(17) <= x"00000000";
        s_arr_tmp(18) <= x"00000000";
        s_arr_tmp(19) <= x"00000000";
        s_arr_tmp(20) <= x"00000000";
        s_arr_tmp(21) <= x"00000000";
        s_arr_tmp(22) <= x"00000000";
        s_arr_tmp(23) <= x"00000000";
        s_arr_tmp(24) <= x"00000000";
        s_arr_tmp(25) <= x"00000000";
	elsif(rising_edge(clk)) then
        s_arr_tmp(0)  <= skey0;
        s_arr_tmp(1)  <= skey1;
        s_arr_tmp(2)  <= skey2;
        s_arr_tmp(3)  <= skey3;
        s_arr_tmp(4)  <= skey4;
        s_arr_tmp(5)  <= skey5;
        s_arr_tmp(6)  <= skey6;
        s_arr_tmp(7)  <= skey7;
        s_arr_tmp(8)  <= skey8;
        s_arr_tmp(9)  <= skey9;
        s_arr_tmp(10) <= skey10;
        s_arr_tmp(11) <= skey11;
        s_arr_tmp(12) <= skey12;
        s_arr_tmp(13) <= skey13;
        s_arr_tmp(14) <= skey14;
        s_arr_tmp(15) <= skey15;
        s_arr_tmp(16) <= skey16;
        s_arr_tmp(17) <= skey17;
        s_arr_tmp(18) <= skey18;
        s_arr_tmp(19) <= skey19;
        s_arr_tmp(20) <= skey20;
        s_arr_tmp(21) <= skey21;
        s_arr_tmp(22) <= skey22;
        s_arr_tmp(23) <= skey23;
        s_arr_tmp(24) <= skey24;
        s_arr_tmp(25) <= skey25;
	end if;
end process;

PROCESS (clk,clr,key_rdy) 
BEGIN
		if (clr = '1') then
			 skey_0 <= x"00000000";
			 skey_1 <=x"00000000";
			 skey_2 <=x"00000000";
			 skey_3 <= x"00000000";
			 skey_4 <=x"00000000";
			 skey_5 <= x"00000000";
			 skey_6 <=x"00000000";
			 skey_7 <=x"00000000";
			 skey_8 <=x"00000000";
			 skey_9 <=x"00000000";
			 skey_10 <=x"00000000";
			 skey_11 <= x"00000000";
			 skey_12 <= x"00000000";
			 skey_13 <=x"00000000";
			 skey_14 <=x"00000000";
			 skey_15 <= x"00000000";
			 skey_16 <=x"00000000";
			 skey_17 <=x"00000000";
			 skey_18 <=x"00000000";
			 skey_19 <=x"00000000";
			 skey_20 <=x"00000000";
			 skey_21 <=x"00000000";
			 skey_22 <=x"00000000";
			 skey_23 <=x"00000000";
			 skey_24 <=x"00000000";
			 skey_25 <= x"00000000";
		elsif rising_edge(clk) then
			if (key_rdy='0')then
			 skey_0 <= x"00000000";
			 skey_1 <=x"00000000";
			 skey_2 <=x"00000000";
			 skey_3 <= x"00000000";
			 skey_4 <=x"00000000";
			 skey_5 <= x"00000000";
			 skey_6 <=x"00000000";
			 skey_7 <=x"00000000";
			 skey_8 <=x"00000000";
			 skey_9 <=x"00000000";
			 skey_10 <=x"00000000";
			 skey_11 <= x"00000000";
			 skey_12 <= x"00000000";
			 skey_13 <=x"00000000";
			 skey_14 <=x"00000000";
			 skey_15 <= x"00000000";
			 skey_16 <=x"00000000";
			 skey_17 <=x"00000000";
			 skey_18 <=x"00000000";
			 skey_19 <=x"00000000";
			 skey_20 <=x"00000000";
			 skey_21 <=x"00000000";
			 skey_22 <=x"00000000";
			 skey_23 <=x"00000000";
			 skey_24 <=x"00000000";
			 skey_25 <= x"00000000";
			else 
			 skey_0 <= s_arr_tmp(0);
			 skey_1 <= s_arr_tmp(1);
			 skey_2 <= s_arr_tmp(2);
			 skey_3 <= s_arr_tmp(3);
			 skey_4 <= s_arr_tmp(4);
			 skey_5 <= s_arr_tmp(5);
			 skey_6 <= s_arr_tmp(6);
			 skey_7 <= s_arr_tmp(7);
			 skey_8 <= s_arr_tmp(8);
			 skey_9 <= s_arr_tmp(9);
			 skey_10 <= s_arr_tmp(10);
			 skey_11 <= s_arr_tmp(11);
			 skey_12 <= s_arr_tmp(12);
			 skey_13 <= s_arr_tmp(13);
			 skey_14 <= s_arr_tmp(14);
			 skey_15 <= s_arr_tmp(15);
			 skey_16 <= s_arr_tmp(16);
			 skey_17 <= s_arr_tmp(17);
			 skey_18 <= s_arr_tmp(18);
			 skey_19 <= s_arr_tmp(19);
			 skey_20 <= s_arr_tmp(20);
			 skey_21 <= s_arr_tmp(21);
			 skey_22 <= s_arr_tmp(22);
			 skey_23 <= s_arr_tmp(23);
			 skey_24 <= s_arr_tmp(24);
			 skey_25 <= s_arr_tmp(25);
			end if;
		end if;
end process;
end Behavioral;
:-D:-D:-D:-D:-Dthank uuuu i got it :-D:-D:-D:-D:-D:-D
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top