Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem in systemC for Visual studio 2008

Status
Not open for further replies.

Tom2

Full Member level 5
Joined
Nov 11, 2006
Messages
318
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Activity points
3,457
I tried to install systemC at Visual studio 2008.

The first step for this is to download SystemC 2.2.0 (from www.systemc.org) and then open \systemc-2.2.0\msvc71\SystemC\SystemC.vcproj
(using visual studio 2008)

After this i have to bult the project and as a result , SystemC.lib it suppost create.



The main problem is that when i built it, a lot of errors are exist.

Is anyone who know , how to fix this?????????????????????
 

Tom2 said:
I tried to install systemC at Visual studio 2008.

The first step for this is to download SystemC 2.2.0 (from www.systemc.org) and then open \systemc-2.2.0\msvc71\SystemC\SystemC.vcproj
(using visual studio 2008)

After this i have to bult the project and as a result , SystemC.lib it suppost create.



The main problem is that when i built it, a lot of errors are exist.

Is anyone who know , how to fix this?????????????????????

Hi,

did you add the systemC.lib in "additional dependencies". check the following document to configure it

Right-click on project name, then go to “Properties → Configuration Properties → Linker → Input” and in the right panel, add to “Additional Dependencies” the SystemC Library you created, namely “systemc.lib”
**broken link removed**

regards
bassa
 

Dear bassa,

I use this document too.

The main problem is:
When i try to built the projetc (step 5),
the systemC.lib is not created.

How to fix this????
 

Hi Tom2,

I am using Visual Studio 2005 professional edition with SP1 and systemC.lib created successfully. No errors reported

1>Creating library...
1>Build log was saved at "file://c:\systemc-2.2.0\msvc71\SystemC\Debug\BuildLog.htm"
1>SystemC - 0 error(s), 231 warning(s)
========== Build: 1 succeeded, 0 failed, 0 up-to-date, 0 skipped ==========

The errors may be due to missing header files, or missing libraries.

can you attach the build output as file then I'll check the errors.

If you need systemC.lib I can attach the created systemC.lib for your reference. but file size is 20.5MB

regards
bassa
 

Dear bassa,

i tried to use visual studio 2005 and the systemc.lib file it was created succesful.
Now when i tried to compile a project in systemc i see the error LNK2005.
Did you had any similar problem in your project?
Hane you got any sujestions, how to fix it?
 

Tom2 said:
Dear bassa,

i tried to use visual studio 2005 and the systemc.lib file it was created succesful.
Now when i tried to compile a project in systemc i see the error LNK2005.
Did you had any similar problem in your project?
Hane you got any sujestions, how to fix it?

I opened fft_flpt sample project in C:\systemc-2.2.0\examples\sysc\fft\fft_flpt directory and build it then it succeed.
1>Linking...
1>Embedding manifest...
1>Project : warning PRJ0018 : The following environment variables were not found:
1>$(SYSTEMC)
1>Build log was saved at "file://c:\systemc-2.2.0\examples\sysc\fft\fft_flpt\Debug\BuildLog.htm"
1>fft_flpt - 0 error(s), 12 warning(s)
========== Rebuild All: 1 succeeded, 0 failed, 0 skipped ==========

check general comments on "error LNK2005 "

**broken link removed**


If you can attache the output file then I'll check it


good luck!
bassa
 

oh , I have the Same problem , when i build fft_flpt example these horrible message come
1>------ Build started: Project: fft_flpt, Configuration: Debug Win32 ------
1>Linking...
1>systemc.lib(sc_simcontext.obj) : error LNK2019: unresolved external symbol "class sc_core::sc_report * __cdecl sc_core::sc_handle_exception(void)" (?sc_handle_exception@sc_core@@YAPAVsc_report@1@XZ) referenced in function __catch$?run_process@sc_method_process@sc_core@@IAE_NXZ$0
1>systemc.lib(sc_thread_process.obj) : error LNK2001: unresolved external symbol "class sc_core::sc_report * __cdecl sc_core::sc_handle_exception(void)" (?sc_handle_exception@sc_core@@YAPAVsc_report@1@XZ)
1>systemc.lib(sc_main_main.obj) : error LNK2001: unresolved external symbol "class sc_core::sc_report * __cdecl sc_core::sc_handle_exception(void)" (?sc_handle_exception@sc_core@@YAPAVsc_report@1@XZ)
1>systemc.lib(sc_simcontext.obj) : error LNK2019: unresolved external symbol "protected: void __thiscall sc_core::sc_unwind_exception::clear(void)const " (?clear@sc_unwind_exception@sc_core@@IBEXXZ) referenced in function __catch$?run_process@sc_method_process@sc_core@@IAE_NXZ$0
1>systemc.lib(sc_thread_process.obj) : error LNK2001: unresolved external symbol "protected: void __thiscall sc_core::sc_unwind_exception::clear(void)const " (?clear@sc_unwind_exception@sc_core@@IBEXXZ)
1>systemc.lib(sc_simcontext.obj) : error LNK2019: unresolved external symbol "protected: __thiscall sc_core::sc_unwind_exception::sc_unwind_exception(class sc_core::sc_process_b *,bool)" (??0sc_unwind_exception@sc_core@@IAE@PAVsc_process_b@1@_N@Z) referenced in function "protected: void __thiscall sc_core::sc_thread_process::suspend_me(void)" (?suspend_me@sc_thread_process@sc_core@@IAEXXZ)
1>systemc.lib(sc_wait.obj) : error LNK2001: unresolved external symbol "protected: __thiscall sc_core::sc_unwind_exception::sc_unwind_exception(class sc_core::sc_process_b *,bool)" (??0sc_unwind_exception@sc_core@@IAE@PAVsc_process_b@1@_N@Z)
1>systemc.lib(sc_thread_process.obj) : error LNK2001: unresolved external symbol "protected: __thiscall sc_core::sc_unwind_exception::sc_unwind_exception(class sc_core::sc_process_b *,bool)" (??0sc_unwind_exception@sc_core@@IAE@PAVsc_process_b@1@_N@Z)
1>systemc.lib(sc_method_process.obj) : error LNK2001: unresolved external symbol "protected: __thiscall sc_core::sc_unwind_exception::sc_unwind_exception(class sc_core::sc_process_b *,bool)" (??0sc_unwind_exception@sc_core@@IAE@PAVsc_process_b@1@_N@Z)
1>systemc.lib(sc_simcontext.obj) : error LNK2001: unresolved external symbol "public: virtual __thiscall sc_core::sc_unwind_exception::~sc_unwind_exception(void)" (??1sc_unwind_exception@sc_core@@UAE@XZ)
1>systemc.lib(sc_wait.obj) : error LNK2001: unresolved external symbol "public: virtual __thiscall sc_core::sc_unwind_exception::~sc_unwind_exception(void)" (??1sc_unwind_exception@sc_core@@UAE@XZ)
1>systemc.lib(sc_thread_process.obj) : error LNK2001: unresolved external symbol "public: virtual __thiscall sc_core::sc_unwind_exception::~sc_unwind_exception(void)" (??1sc_unwind_exception@sc_core@@UAE@XZ)
1>systemc.lib(sc_method_process.obj) : error LNK2001: unresolved external symbol "public: virtual __thiscall sc_core::sc_unwind_exception::~sc_unwind_exception(void)" (??1sc_unwind_exception@sc_core@@UAE@XZ)
1>systemc.lib(sc_simcontext.obj) : error LNK2001: unresolved external symbol "public: virtual char const * __thiscall sc_core::sc_unwind_exception::what(void)const " (?what@sc_unwind_exception@sc_core@@UBEPBDXZ)
1>systemc.lib(sc_wait.obj) : error LNK2001: unresolved external symbol "public: virtual char const * __thiscall sc_core::sc_unwind_exception::what(void)const " (?what@sc_unwind_exception@sc_core@@UBEPBDXZ)
1>systemc.lib(sc_thread_process.obj) : error LNK2001: unresolved external symbol "public: virtual char const * __thiscall sc_core::sc_unwind_exception::what(void)const " (?what@sc_unwind_exception@sc_core@@UBEPBDXZ)
1>systemc.lib(sc_method_process.obj) : error LNK2019: unresolved external symbol "public: virtual char const * __thiscall sc_core::sc_unwind_exception::what(void)const " (?what@sc_unwind_exception@sc_core@@UBEPBDXZ) referenced in function "public: __thiscall std::_Ranit<class sc_core::sc_object *,int,class sc_core::sc_object * const *,class sc_core::sc_object * const &>::_Ranit<class sc_core::sc_object *,int,class sc_core::sc_object * const *,class sc_core::sc_object * const &>(void)" (??0?$_Ranit@PAVsc_object@sc_core@@HPBQAV12@ABQAV12@@std@@QAE@XZ)
1>Debug/fft_flpt.exe : fatal error LNK1120: 5 unresolved externals
1>Build log was saved at "file://f:\systemc-2.3.0_pub_rev_20111121\examples\sysc\fft\fft_flpt\Debug\BuildLog.htm"
1>fft_flpt - 18 error(s), 0 warning(s)
========== Build: 0 succeeded, 1 failed, 0 up-to-date, 0 skipped ==========
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top