Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Proasic ram models for verilog

Status
Not open for further replies.

praveen_baba

Newbie level 2
Joined
Feb 20, 2007
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,291
hi friends
i am tring to simulate the can code which i downloaded form the opencores initially how the functionality works in simulation.After that i will improve the code.but i am getting one problem,there is missing verilog code for the Proasic ram actel model 64X1 and 64X4 verilog models

i am already tried in actel site also unable to get the these models.can any one help me how to get this models.


Thanks in adv
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top