Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

primetime check different clock

Status
Not open for further replies.

megastar007

Member level 4
Joined
Feb 20, 2007
Messages
71
Helped
10
Reputation
20
Reaction score
5
Trophy points
1,288
Location
Munich
Activity points
1,662
Clock domain Crossing

Can any one tell different methods for transffering CONTINOUS data(not single bit,say byte or word) between 2 clk domains (other than Async FIFO).
 

Re: Clock domain Crossing

One should avoid to pass a lot of data acrossing the clock domains. If you have to, FIFO might be the best scheme to use. However, I personally like to use control signal to gate the very wide data bus for clock domain crossing. This way, it is very clean and easily to do STA.

Also, cross from fast to slow, or slow to fast manifesst two different kind of chanllenges as well..


Hope it helps.
 

Re: Clock domain Crossing

In a circuit where an asynchronous signal has to be synchronised with the clk,the MTBF comes into picture.
MTBF = 1/(Fclk * Fin *Td)
Td = critical time window(the addition of setup time and hold time)

so different synchroniser ckts can be built for the purpose.normally a singal or two stage ff is sufficient to increase the MTBF boundary.

yu can refer Metastable Response in 5-V Logic Circuits by texas instruments paper for further mathematical explanations.
thank you
 

Re: Clock domain Crossing

You can use 2 flop synchronizer circuit. Else use handshake, but this wont allow continous supply of data to other clock domain.

Fifo is also effective mechanism.
 

Re: Clock domain Crossing

you can use schemes like 2-way handshaking and 4-way handshaking.
 

Clock domain Crossing

Async FIFO is better, You can search "SNUG Async" to get the document.
 

Re: Clock domain Crossing

use synchrozer
 

Re: Clock domain Crossing

For data bus, use a flop synchronized single bit control signal to validate the data bus.

Section 3.2 in this paper describes this very well:

h**p://cadence.com/whitepapers/cdc_wp.pdf

You should avoid signals crossing clock domains as much as possible and use 2/4-way handshaking. But in some designs, this is not possible due to high latency because of handshaking. You can use the above cct in that case.

HTH,
B
 

Re: Clock domain Crossing

use two phase or four phase handshaking method to transfer data between clock domains or use your mentioned async fifo method.


megastar007 said:
Can any one tell different methods for transffering CONTINOUS data(not single bit,say byte or word) between 2 clk domains (other than Async FIFO).
 

Clock domain Crossing

USE hold register & MUX architecture or handshaking protocal
 

Re: Clock domain Crossing

phoenixfeng, any special conditions should be taken into consideration for "USE hold register & MUX architecture"
 

Clock domain Crossing

for the one signal U can use double-sample FFs
for the bus U can synchronization use a syn-signal thro doble-sample FFs.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top