Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Presentation and request for help: problems with GRLIB and Modelsim compiling

Status
Not open for further replies.

Nicola De Quattro

Newbie level 1
Joined
Jan 18, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,290
Hi all,
I am a system engineer and I am working at the moment on a space transponder SDR. As a matter of fact, I am not a software engineer :D You can say that me and software are two different entities without any link :)
At the moment I am in trouble with LEON3 software. The problem, merely of basic programming, is that I can't compile ModelSim! I'm referring to the GRLIB from Gaisler Research and I can't find any help in the User's Manual.

When I run, from the "tutorial" directory ./designs/leon3-gr-xc3s-1500/ the command:
Code:
~/leon/grlib-gpl-1.1.0-b4104/designs/leon3-gr-xc3s-1500$ make vsim
Scanning libraries
  grlib: stdlib util sparc modgen amba
  unisim: ise
  dw02: comp
  synplify: sim
  techmap: gencomp inferred dw02 unisim maps
  spw: comp wrapper
  eth: comp core wrapper
  opencores: occomp can i2c spi ata ac97
  gaisler: arith memctrl leon3 can misc net uart sim jtag greth spacewire usb ata
  esa: memoryctrl
  micron: sdram
  work: debug
testbench.mpf
[B]/bin/sh: vsim: not found
make: *** [modelsim] Error 127[/B]

I don't know how to resolve this problem and I can't find any help on the Internet. I'm not used to Makefile configuration. The xconfig for config.vhd generation works well. Can anyone give me an help?
Moreover, can someone advice some books or any material useful for learning LEON basics design and implementation?

Thank you very much

Nicola
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top