Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Post layout simulation error in 45nm technology.

Status
Not open for further replies.

akchetana

Newbie level 5
Joined
Jan 13, 2016
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
67
Hello everyone,
I want to perform the post-layout simulation for 6T SRAM cell in Cadence for 45nm technology. However, I am trying to perform with a simple inverter first. The DRC and LVS are cleared. Now when I try to do post-layout it shows me an error while adding the RCX file. However, the simulation succeeded for 180nm technology for the same circuit. Maybe this is because the RCX file is not attached or not found for 45nm technology. Can anyone tell me the way to attach these files?
 

... it shows me an error while adding the RCX file. ...
Maybe this is because the RCX file is not attached or not found for 45nm technology.

These two statements don't fit together - at least for my understanding. I think you should make it clearer: is the RCX file found and used - or not?
 

These two statements don't fit together - at least for my understanding. I think you should make it clearer: is the RCX file found and used - or not?


Hello,
Let me explain it more. After clearing the DRC and LVS, I select Assura- Run RCX. In Pop up window, in the extraction tab I select Cap coupling mode as 'Coupling' , I make some other changes in other tabs. Now in the Run details, when I try to add the run file, it shows an error saying file not found. I feel we are supposed to add an RCX file. But I could not find any file with the extension .rcx.
Thank you. Waiting for the reply.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top