Portability/export/Port_Main.h:143:1.17

Status
Not open for further replies.

angela_y

Newbie level 1
Joined
Jul 16, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,288
hello everbody,
I was working on a project about i2c I faced a fatal error like that:

Portability/export/Port_Main.h:143:1.17 This application has discovered an exceptional condition from which it cannot recover. Process will terminate. For technical support on this issue, please open a WebCase with this project attached at https://www.xilinx.com/support.

what should I do? I looked for around the website xilinx and also support tool but can not understand anything about what should I do.

I have to run over this problem in th shortest time. Is there anyone wanna help me?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…