KAVINKUMAR_A
Newbie level 3
\\\ prefix of slice name must be an array
\\\ vhdl compiler existing
***** i got this two error . i dont know how to solve it.
***** i want to multiply an 8 bit number with 0.1(decimal number)
please help me
\\\ vhdl compiler existing
***** i got this two error . i dont know how to solve it.
***** i want to multiply an 8 bit number with 0.1(decimal number)
please help me
Code VHDL - [expand] 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mult is port(A : in std_logic_vector(7 downto 0); B : in std_logic_vector(7 downto 0); RES : out std_logic_vector(15 downto 0)); end mult; architecture archi of mult is signal y : std_logic_vector(7 downto 0); signal c : real; begin y <=(CONV_INTEGER(c(7 downto 0))); RES <= A * y; end archi; data <= mem_data(CONV_INTEGER(addr(15 downto 0)))
Last edited by a moderator: