Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

please help me about simple look up table in vhdl

Status
Not open for further replies.

alierossi

Newbie level 6
Joined
Dec 7, 2009
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
malaysia
Activity points
1,375
how can i built a look up table inside fpga based on the data in table below. the table is until data no 4000. please help me. i am new in fpga and vhdl code. thank you.
80_1260177937.jpg
 

FPGA do not have support for REAL numbers, you must make a compromise. If you have only 2 decimal values, you can save the value x 100 in the lookup table, for that, you need to create a memory type, and put the values as constants.

Take a look at this for an example:



Lookup table can be a ROM :)
 

    alierossi

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top