Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

pid controller in vhdl

Status
Not open for further replies.

hamran

Member level 1
Joined
Jun 25, 2007
Messages
36
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,474
i would like to start my graduation project with implementation and simulation of a pid contrller in vhdl......so what i need is some good examples in vhdl-ams and is mentor graphics software can support vhdl-ams, i wanna start in writing the code of the pid controller, can someone help me with that plz..........
 

Rather than HDL code,I would prefer some conceptual documents or system level code for understanding concept.

This request is for people who already designed it.
 

hi samuraign
do u mean that iy is not preferred to implementing the pid controller in vhdl?
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top