Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Perl Python Tcl in ASIC design verification

Status
Not open for further replies.

keyur621

Newbie level 1
Joined
Sep 6, 2009
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
USA
Activity points
1,287
I wanted to know the use of Perl, Python, C and C++ in ASIC design verification. Can anybody tell me in detail what is the role of all these languages in ASIC design?
 

Perl, Python are scripting languages used widely in EDA Industry for design automation.

C is used for developing firmwares, Design models in DUT verification
C++ can be used to develop a complete verif environment. There are some companies even today doing there complete Verif with C++ without using tools specifically made for this job.

Hope it helps.

regards,
freak
 

perl , python and tcl can support EDA script flow which can make your verification flow more efficient!
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top