Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

partition of a number

Status
Not open for further replies.

joice sar

Newbie level 4
Joined
Jan 9, 2013
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,312
Is this possible to divide a number into two parts in output without changing the value for example 1011(11) as 10(to be displayed as 8) and 11(to be as 3) in output in VHDL programming.
 

what are you trying to do ? display the number represented by the binary code as a decimal number ? If so, then this method will result in erroneous outputs.
1011b is 11d
1001b is 09d
1111b is 15d

and so on
 

Hi joice sar,can you be more clear, what do you mean exactly by divide into two parts in output, do you wish have two outputs that one of them takes the first part and the other takes the second part.
 

well of course you can, but the point is whether it does what the asker expects.

I answered his questions exactly to the way he asked it. If he wants a more detailed answer, he'll have to ask a different/more detailed question
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top