Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Open Verification Methodology (OVM) Overview is now up!

Status
Not open for further replies.

boardlanguage

Full Member level 1
Joined
Apr 6, 2007
Messages
96
Helped
7
Reputation
14
Reaction score
1
Trophy points
1,288
Activity points
2,083
ovm presentation

ovmworld.org has finally posted an online-version of the seminar series held earlier this year. Go see it at
**broken link removed**

OVM is a Systemverilog class-library and framework for writing re-usable, modular testbenches. To run & compile the examples, you'll need Cadence IUS62 or Mentor Questasim 6.3d. (In theory Synopsys VCS should be able to run it, too.)

The online-seminar is geared for an audience who has working knowledge of Systemverilog. You don't need to be an expert, but familiarity with OOP (objectoriented programming) concepts, andclass/endclass semantics is helpful. If you don't know systemverilog, learn that first, and come back later to OVM.
 

Is there any download link for that presentation. Please......


boardlanguage said:
ovmworld.org has finally posted an online-version of the seminar series held earlier this year. Go see it at
**broken link removed**

OVM is a Systemverilog class-library and framework for writing re-usable, modular testbenches. To run & compile the examples, you'll need Cadence IUS62 or Mentor Questasim 6.3d. (In theory Synopsys VCS should be able to run it, too.)

The online-seminar is geared for an audience who has working knowledge of Systemverilog. You don't need to be an expert, but familiarity with OOP (objectoriented programming) concepts, andclass/endclass semantics is helpful. If you don't know systemverilog, learn that first, and come back later to OVM.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top