Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Only generics of type INTEGER are supported for synthesis

Status
Not open for further replies.

dr.farnsworth

Member level 3
Joined
Jan 5, 2005
Messages
56
Helped
15
Reputation
30
Reaction score
14
Trophy points
1,288
Activity points
401
Hi all,

while doing synthesis in design compiler i get the error
" Only generics of type INTEGER are supported for synthesis"

i have check the design files the error is on defining generics

like in my file

generic(ResetRegFile : boolean := FALSE);

how to remove this error ??
 

Re: Only generics of type INTEGER are supported for synthesi

Hi ,

DC will accept only synthesizable code only .
Use synopsys_transulate_off and synopsys_transulate_on before and after your geniric.


Thanks & Regards
yln
 

Re: Only generics of type INTEGER are supported for synthesi

Boolean type is not included in the synthesis subset....The only way is to define the generic as integer and try to convert the integer into your required logic.

Regards,
dcreddy
 

Re: Only generics of type INTEGER are supported for synthesi

Just replace this(boolean style value) with an integer, and using the relative operation where use "ResetRegFile ".
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top