Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NgdBuild:604 - problem

Status
Not open for further replies.

kalyansrinivas

Advanced Member level 4
Joined
Jul 7, 2007
Messages
100
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,298
Activity points
1,910
ngdbuild: 6049.2

Hi friends

I face the following when i run translate using Xilinx ise 9.2i even if i add the .ngc and .edn files to my project directory. I cleaned even the project files and tried but the problem is still existing

NgdBuild:604 - logical block 'FFT/xsprom128i' with type 'w128_i_8x128'
could not be resolved. A pin name misspelling can cause this, a missing edif
or ngc file, or the misspelling of a type name. Symbol 'w128_i_8x128' is not
supported in target 'virtex4'.

Please help me out soon
 

i'm not kidding but try to run it under linux system !

i encountered similar phenomenas with ise run prefectly under linux, but unable to find ngc files in windows !
 
fine i will try the same ,Thankyou for the suggestion
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top