Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Netlist simulation in ModelSim

Status
Not open for further replies.

jayeshbagada

Newbie level 4
Joined
Oct 16, 2010
Messages
5
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,328
Hello friends

I have compiled/Synthesized my design using Design Compiler and generated netlist and SDF files.(used UMC130 library)

now i m trying to simulate the netlist in ModelSim but it shows error.
it is not able to recognize the standard components in netlist.

Can you help me with, where to specify the target library(UMC130) in ModelSim.

Thanking you
JB

---------- Post added at 01:28 ---------- Previous post was at 01:20 ----------

ModelSim shows me this error...for example one component
# ** Error: (vsim-3033) /home/students/mtech/2010/iec/een102649/Desktop/sem_2_Assignments/Asgn_2/Sum_modelsim_netlist_sim/top_netlist.v(633): Instantiation of 'OAI12CHD' failed. The design unit was not found.
# Region: :test_bench:sum_compare
# Searched libraries:
# /home/students/mtech/2010/iec/een102649/Desktop/sem_2_Assignments/Asgn_2/Sum_modelsim_netlist_sim/work
 

Hi,

I think you should compile the standard library in Modelsim. You should have the verilog netlist of the standard library. It is given in by the foundry in the installation directory.

Hope this help.

Thanks.

Hairo.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top