Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need Verilog code to display characters on LCD module

Status
Not open for further replies.

sushantm

Newbie level 3
Joined
Dec 21, 2010
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,303
Dear all,


I want to start a lcd display I had studied its datasheet got the pins and specified words the RS , RW and DB0 to DB7 signals..but i am not getting exactly what to do? any one can provide me simple verilog code to display hello or a single letter 'H'.Please help me. I am working on Altera's Cyclone III Fpga Devlopment Board.


Please helpo me out.





Thank's

Sushant.M
 

Re: Character LCD Module

study the lookup table for lcd display
which gives more information on displaying each character on lcd
 

Re: Character LCD Module

specified words the RS , RW and DB0 to DB7 signals..but i am not getting exactly what to do?


RS is register select pin that takes 0 or 1 as input and is used to configure LCD in command mode or data mode.

RS=0 command mode. it means that any data sent to LCD will be treated as initialization command.
RS=1, data mode. it means that any data sent to LCD will be treated as data to be printed on LCD.

RW is read or write register that takes 0 or 1 as input.

rw= 0 data can be sent
rw=1 LCD is busy, wait till operation is over.

En is enable. it is used to send the data from controller to LCD internal registers. it acts like a gate that opens and allows the data or closes the gate.
If en=1 then datafrom controller moves into the registers of LCD.
of en=0. data stays on the port pins and waits till en goes high.

en should be given as a high to low pulse. if 1 msec.
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top