Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Need help in Verilog hdl universal shift register test bench

Status
Not open for further replies.

Shakir Ullah

Newbie level 3
Joined
Jun 15, 2015
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
21
please help ma regarding the test bench of the following code. I have tried it but it is not working.

Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
module ShiftRegister8( 
input sl, sr, clk, 
input [7:0] ParIn, 
input [1:0] m, 
output reg [7:0] ParOut); 
 
always @(negedge clk) begin 
case (m) 
0: ParOut <= ParOut; 
1: ParOut <= {sl, ParOut [7:1]}; 
2: ParOut <= {ParOut [6:0], sr}; 
3: ParOut <= ParIn; 
default: ParOut <= 8'bX; 
endcase 
end 
endmodule
 
// Test bench that i have tried but not working 
 
module TB_ShiftRegister8(); 
reg sl, sr, clk; 
reg [7:0] ParIn; 
reg [1:0] m; 
wire [7:0] ParOut; 
initial 
$monitor ("SL: %b , SR: %b , PARIN: %b , M: %b , PAROUT: %b ", sl, sr, ParIn, m, ParOut); 
initial 
begin 
 
#10 sl = 1; ParIn = 8'b1; m = 0; 
#10 sr = 1; ParIn = 8'b1; m = 1; 
#10 sl = 1; ParIn = 8'b1; m = 2; 
#10 sl = 1; sr = 1; ParIn = 8'b1; m = 3; 
#10 $stop; 
end 
ShiftRegister8 M0 (sl, sr, clk, ParIn, m, ParOut); 
endmodule

 
Last edited by a moderator:

You dont generate the clock in your testbench
 

How can i do it i am new in verilog please help
 


Code Verilog - [expand]
1
2
3
4
5
6
7
8
9
10
11
12
reg clk;
initial begin
  clk = 0;
  forever #5 clk = ~clk;
end
 
// or ...
reg clk;
initial begin
  clk = 0;
end
always #5 clk = ~clk;

 
Now i am getting this Output
# SL: x , SR: x , PARIN: xxxxxxxx , M: xx , PAROUT: xxxxxxxx
# SL: 0 , SR: 0 , PARIN: 00000001 , M: 00 , PAROUT: xxxxxxxx
# SL: 1 , SR: 0 , PARIN: 00000001 , M: 01 , PAROUT: 1xxxxxxx
# SL: 0 , SR: 1 , PARIN: 00000001 , M: 10 , PAROUT: xxxxxxx1
# SL: 1 , SR: 1 , PARIN: 00000001 , M: 11 , PAROUT: 00000001
 
Last edited by a moderator:

You didn't originally apply any of the inputs based on a clock so your output is going to match whatever you stimulate the design with.

So your output is correct based on your testbench. If you want it to shift more then you'll have to make sure the signals don't change until you've done all the required shifts.

You should probably at least read some tutorial on testbenches. e.g. https://www.asic-world.com/verilog/art_testbench_writing.html
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top