Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need help for NCverilog simulation!

Status
Not open for further replies.

dennisi123

Newbie level 1
Joined
Jun 11, 2013
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
Hello,

I've got warning messages during post simulation with NCVerilog.
After I dumped out sdf and verilog netlist from ICC,I started NCverilog simulation with the command ncverilog -f ncscript ,then I got many warnings as follows:
ncelab: *W,SDFNL1 (/Plib/digital/chart35/layout_used_SE/lib/chart35.v,11729|5): Attempt to annotate a negative value to a 1 limit timing check in instance (shiftreg_test.t1.u3.latch238_reg_15_), setting to 0 <./final1.sdf, lin
e 253950>.
...........

I have no idea how to fix them because I don't know what does these warnings mean.I have used the option +neg_tchk,but it didn't work.
It would be a big help for me if someone recommend me a good reference for solving this problem.
Thanks a lot !

dennis
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top