Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need circuit for the timing diagram

Status
Not open for further replies.

rajakash

Member level 2
Joined
Nov 9, 2006
Messages
53
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,608
hi,

when there is positive edge clock and change in input cause the output to one...

wat may be the circuit for this condition?????????
 

Is this helpfull?

Code:
entity my_design is
	Port( 	clk	: in std_logic;
			input : in std_logic;
			output : out std_logic);
end my_design;

architecture Behavioral of my_design is
signal input_old: std_logic;

begin
 process(clk)
  begin
		if(clk'event and clk='1') then
			if(input_old /= input) then
				output<='1';
			else 
				output<='0';
			end if;
		input_old <= input;
		end if;
 end process;
end Behavioral;
 

Attachments

  • simulation.GIF
    simulation.GIF
    12.2 KB · Views: 63

emresels looks good. heres my take at a gate level ...
input in
output out
input clk

flop (.D(input), .Q(flop_out) .CLK(clk));
xor (.A(input), .B(flop_out) .Z(out));
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top