Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NCVHDL - Dump a Singal

Status
Not open for further replies.

omara007

Advanced Member level 4
Joined
Jan 6, 2003
Messages
1,237
Helped
50
Reputation
102
Reaction score
16
Trophy points
1,318
Location
Cairo/Egypt
Activity points
9,716
vhdl database -open -shm

Hi Guys ..
How to dump a signal from the waveform window of the NC-VHDL to a file .. for example , if I need to have a complete picture of the RAM of a microprocessor from the time the program starts till it ends, how can I have such a picture (say a VCD file) from inside NC-VHDL and not from the HDL code itself ?
 

ncvhdl vcd dump

omara007 said:
Hi Guys ..
How to dump a signal from the waveform window of the NC-VHDL to a file .. for example , if I need to have a complete picture of the RAM of a microprocessor from the time the program starts till it ends, how can I have such a picture (say a VCD file) from inside NC-VHDL and not from the HDL code itself ?

NC has TCL commands to do this, Read the manual, a quick start will be:

database -open -shm waves -default
probe -create / -depth all
run
exit

HTH
Aji
http://www.noveldv.com
 

ncvhdl waveform

For the use of NC,you can refer to the manual.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top