ncverilog file ordering error

Status
Not open for further replies.

Gurgen

Newbie level 2
Joined
Feb 6, 2013
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,296
I have got this for ncverilog tool:

ncelab: *E,CUVMUR (./a.v,387|18): instance 'nwl_rl3_cmd_proc.nwl_rl3_rw_ctrl_ch0' of design unit 'nwl_rl3_rw_ctrl' is unresolved in 'worklib.nwl_rl3_cmd_proc:v'.

Please note that ncverilog requires files ordering for correct compilation. Otherwise you will got error.
Example.
assume we have the following files
a.v
b.v
and b module is instantiated in a.v file.
so you should call ncverilog like this:

ncverilog b.v
ncverilog a.v

otherwise I will got above mentioned ERROR
I don't know what is the flag for ncverilog command to avoid ordering of verilog files ((

Does ncverilog have that flag ?
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…