NCVerilog cannot dump out waveform

Status
Not open for further replies.

jiang

Advanced Member level 4
Joined
Dec 31, 1999
Messages
111
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,296
Location
Taiwan
Activity points
855
Hi guys,

When I run the gate level simulation, ncverilog cannont dump out waveform.
It shows
"ERROR: ACC VISNOC
Attempting to place a value change callback on top.HCLK which does not have read access.
.\easy_bfm.v, 65: $fsdbDumpvars."
I used $dumpvars to dump waveform, but it did still not work.
I used $monitor to monitor variables and then variables did change their values in time.
Does anyone help me?

Best Regards,
jiang
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…