Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

NC_verilog Problem "WARNING: SYSTF DBPRVIS "

Status
Not open for further replies.

51cfpl

Newbie level 3
Joined
Apr 28, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
dbprvis

Hi,
What does this warning mean? How can I resolve it?
Why I can't creat trn file?


WARNING: SYSTF DBPRVIS
For the requested database probe some object did not have read access.
./chip.v, 16: $recordvars("depth=1",tb_chip)
 

Re: dbprvis

To prevent this error
run the below command
"ncverilog -access rwc" and check it
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top