Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Multiplier and Accumulator in Verilog

Status
Not open for further replies.

atinjain

Newbie level 1
Joined
Jun 14, 2012
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,286
I'm trying to implement MAC in verilog. For multiplication part I'm using Booth Algorithm. I've made a separate module for the multiplication part booth_mul.v (lets say).

Now what I want to do is to add the products generated subsequently. For this I intended to call this(booth_mul) module inside the always block. But that gives an error and on google search I found that perhaps a module cannot be called or instantiated inside the always block.

Help Please? What should I do? Is there a way around?
 

Hi,

You cannot call a file inside always block. Please understand what does always block does. If you want the same functionality then instantiate it assign the output of booth_mul signal to ber used in the always block. By this means you can manipulate

Thanks,
Vivek.S
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top