Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Multiplication in Verilog with a constant

Status
Not open for further replies.

Antares.

Newbie level 4
Joined
Feb 21, 2014
Messages
6
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
33
I have to perform an action of division in my verilog code, I have to divide a 16 bit number by 10 and also take modulus of 10. But div and mod operations cannot be synthesized. Alternate option might be to multiply that number by a constant 0.1 instead of div. How can I do this? Also please suggest me an alternative to mod operation, which can be synthesized.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top