Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[Moved]verilog code for pid controller

Status
Not open for further replies.

pamasapr

Newbie level 2
Joined
May 5, 2016
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
10
I am doing project on pid controller so want a verilog code for pid controller.
 

"Doing a project" involves deriving the PID differential equations, I think. Once you have it, it's not a big thing to write a Verilog implementation from the scratch.

If you want some ideas, there are loads of PID examples on the net, e.g. an opencores.org Verilog PID project.
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top