Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Monitering or Accessing an Internal Signal at Top Level

Status
Not open for further replies.

Mirzaaur

Member level 2
Joined
Aug 5, 2005
Messages
50
Helped
3
Reputation
6
Reaction score
0
Trophy points
1,286
Activity points
1,690
Hi all,

Please advise me about my problem:-

I am simulating top level (VHDL) , need to moniter an internal signal of component. IN my test bench I want to generate the test signal based on the value of that signal what should I do ?

I am using Aldec 7.

One way is to assign a (test) port to that signal and then moniter that port, but it cause a mess in design.

thanks in advance,

mirza
 

Mirzaaur said:
Hi all,

Please advise me about my problem:-

I am simulating top level (VHDL) , need to moniter an internal signal of component. IN my test bench I want to generate the test signal based on the value of that signal what should I do ?

I am using Aldec 7.

One way is to assign a (test) port to that signal and then moniter that port, but it cause a mess in design.

thanks in advance,

mirza
Hi,
You may be aware that VHDL language does NOT allow that per-se. Having said that many simulators allow that via special functions/procedures. Aldec has this feature named "SignalAgent", look for it in their doc. Also take a look at

www.noveldv.com/eda/probe.zip for a generic package that I wrote a while ago for this.

HTH
Ajeetha, CVC
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top