Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[ModelSim-VHDL] How to see local variables in procedure in the waveform?

Status
Not open for further replies.

ivlsi

Advanced Member level 3
Joined
Feb 17, 2012
Messages
883
Helped
17
Reputation
32
Reaction score
16
Trophy points
1,298
Activity points
6,868
Hi All,

How can I see variables, which are locally defined in the VHDL procedures, in the waveform? Somehow I cannot see nor the procedures themselves nor their variables.

Thank you!
 

Attachments

  • 1.PNG
    1.PNG
    48.5 KB · Views: 146

Hey ! You cant see the variables in the wavefrom window.But assigning it to a local signal helps you to see the events caused in it.
Hope this helps !!
Thanks.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top