Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

modelsim simulation stopping

Status
Not open for further replies.

vivo_m

Member level 3
Joined
May 15, 2011
Messages
54
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,903
hello everybody,


i've built a block in modelsim that reads from file and makes some calculation.. i want to display out a message & stop simulation or do something that alerts & declares end of file readings within wave window and stop executing "run" command any more..
i did a flag that is set to '1' when reaching end of file so its visually seen within wave window and running simulation is not halt yet i still can "run" it..

any idea how can i do this plzzz....



thanks..
 

first question - what language?
The way to stop a simulation is to halt all stimumus (usually that means stopping the clock) or kill it via an assert failure.
 

easiest way to kill a testbench:

assert (something_is_true) report "something_is_true is now false" severity failure;

To stop a clock you simply get the clock process to hit a wait;
 
  • Like
Reactions: vivo_m

    vivo_m

    Points: 2
    Helpful Answer Positive Rating
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top