Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ModelSim Simulation problem

Status
Not open for further replies.

THREEINCHNAIL

Newbie level 3
Joined
Apr 8, 2006
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,337
no feasible entries for infix operator +.

I have passed the 'syntax check' section in ISE7.1 When I simulate it in ModelSim, I had a lots Errors;
1. Identifier "signed" is not directly visible. I have added these following libraries
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.numeric_bit.all;
use IEEE.numeric_std.all;
--use IEEE.numeric_std.signed;
library UNISIM;
use UNISIM.VComponents.all;

I heard ieee.std_logic_arith and numeric_std all have 'SINGED' function. Even though I remove one of them, it doesn't work either;

2.where non-array type (error) was expected.
variable ones : signed(15 downto 0) := "0000000000000001";
I don't what is wrong with it?

3.Incompatible types for variable assignment.
d2Rkk:="00000000000000000000000000000000"; (variable d2Rkk :signed(31 downto 0);)

4.OTHERS choice can not be used in unconstrained array aggregate and Indexed name is type (error); expecting type bit.
tmpR :=(others=>signiptdoutb(15)); ps:variable tmpR :signed(31 downto 0);

5.No feasible entries for infix operator "-".
tmpb :=tmpb-tmpR; ps: variable tmpb :signed(31 downto 0);

6.No feasible entries for infix operator "+".
tmpb :=tmpb+tmpR;

7.Type error resolving infix expression "=" as type boolean.
if signthtdoutb(31) = '0' then.... type of signthtdoutb is 'signed'

8. Bad right hand side (infix expression) in variable assignment.

9.Type error resolving infix expression "or" as type std_logic.
overflow <=((not signhdoutb(15)) and (not signthtdoutb(31)) and (tmph(15))) or ((signhdoutb(15)) and (signthtdoutb(31)) and (not tmph(15)));


I am sorry to bring many problems here. I am totally mad about this. I have google some but cannot get the answers. Any help from you is very appreciated. Thank you very much.

Zhi
 

no feasible entries for infix operator

Problem is solved.

I added too many libraries. They might get conflict each other.
 

no feasible entries for infix operator +

you r right it was because of multiple libraries...
 

yes, if define the same constant in two different pack files this problem also happen.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top