Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Modelsim related doubt?????

Status
Not open for further replies.

jerina

Newbie level 5
Joined
Nov 8, 2005
Messages
9
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,361
Hello all,
im working in DFT and im getting the following error from modelsim

Error: (vsim-3601) Iteration limit reached at time 15574077530200 fs.



How do i set the iteration limit in the command prompt in unix not in the modelsim prompt( not in GUI) ie before running simulations ...?
 

The tcl command:
set IterationLimit 5000

From the OS command line:
vsim -do "set IterationLimit 5000"

You can change the default value in your modelsim.ini file:
IterationLimit = 5000

Related info from the User's Manual:

Detecting infinite zero-delay loops

If a large number of deltas occur without advancing time, it is usually a symptom of an infinite zero-delay loop in the design. In order to detect the presence of these loops, ModelSim defines a limit, the "iteration limit", on the number of successive deltas that can occur. When ModelSim reaches the iteration limit, it issues a warning message.

The iteration limit default value is 5000. If you receive an iteration limit warning, first increase the iteration limit and try to continue simulation. You can set the iteration limit from the Simulate > Runtime Options menu or by modifying the IterationLimit (UM-518) variable in the modelsim.ini. See "Control variables located in INI files" (UM-508) for more information on modifying the modelsim.ini file.

If the problem persists, look for zero-delay loops. Run the simulation and look at the source code when the error occurs. Use the step button to step through the code and see which signals or variables are continuously oscillating. Two common causes are a loop that has no exit, or a series of gates with zero delay where the outputs are connected back to the inputs.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top