Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ModelSIM error "Failed to find 'glbl' in hierarchical n

Status
Not open for further replies.

sudhirkv

Advanced Member level 4
Joined
Dec 13, 2005
Messages
106
Helped
8
Reputation
16
Reaction score
1
Trophy points
1,298
Location
Chennai, India
Activity points
1,992
ModelSIM error

I have taken a Xilinx CoreGen's FFT core and i tried to simulate in ModelSIM. After compilation and when i was loading my design i got an error "Failed to find 'glbl' in hierarchical name".

How to clear this error.

Thanks

SUdhir
 

Re: ModelSIM error

If the core does not already have the glbl module defined in it, then add the glbl.v file to your file list. It is located at <your_lib_install_root>/xilinx/ise/<version>/ISE/verilog/src/glbl.v.

- Hung
 

Re: ModelSIM error

I have added the file glbl.v to the project directory but still i am geting the error.


I have added all the verilog libraries into the project library.

what else should i have to add to my project.

Thanks

Sudhir
 

Re: ModelSIM error

Sudhir,
You have to load glbl as a top level module along with your current top level module. Assuming top is your current top level module try something as below

vsim [options] top glbl
 
Re: ModelSIM error

This info helped me resolve my issue. Thank you ..

Sudhir,
You have to load glbl as a top level module along with your current top level module. Assuming top is your current top level module try something as below

vsim [options] top glbl
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top