Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Minimum required constraints while synthesis?

Status
Not open for further replies.

biju4u90

Full Member level 3
Joined
Dec 10, 2014
Messages
172
Helped
3
Reputation
6
Reaction score
3
Trophy points
18
Activity points
1,437
What are the minimum required constraints to be given while synthesising a design?
1. clock period
2. input delay
3. output delay
4. clock uncertainity
5. clock latency
6. set load
Other than the constraints listed above, what are the basic constraints required?
 

Depends on a lot of factors. Whether you are synthesizing a design for an ASIC or FPGA, what are your requirements.

I had synth. a microprocessor design to be implemented on a FPGA using only the create_clock constrain, nothing else.
 

I am trying to study ASIC synthesis by myself. I took a System on Chip using OR1K processor. I am not given any constraints and I am trying to get familiarize with the different synthesis constraints. So, what all could be the typical constraints?
 

In that case I guess you have answered your own question in the 1st post! :)
 

I would suggest to have the following constraint first.

1. clock period
2. input delay
3. output delay
4. clock uncertainity
5. clock latency
6. set load
7. set input transition
8. False Path / Multi-Cycle Path ( between the clock domains if any ).
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top