Methods of generating sine wave using VHDL

Status
Not open for further replies.

1nandha

Junior Member level 1
Joined
Aug 22, 2011
Messages
15
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,374
What are the different methods that can be used to generate a sine wave using VHDL other than CORDIC?
 

Re: Sine wave using VHDL

a look up table.
 

Re: Sine wave using VHDL

DDS (effectively a more sophisticated LUT)
 

Re: Sine wave using VHDL

To find out CORDIC algoritm. It is the best way to generate Sin and Cos to use basic operations -shift,addition,not.
 

Re: Sine wave using VHDL

implement the taylors formula for sine function in vhdl.
 

Re: Sine wave using VHDL

Absolutely use DDS IP Core.. It's pretty easy to run and get data.
It has also run-time frequency change option.
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…