MAX6675 SPI VHDL code help (200 USD for debugging the code and doing the simulation )

Status
Not open for further replies.

intelintel

Newbie level 1
Joined
Dec 25, 2011
Messages
1
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,285
what is the best way to interface SPI-max6675

what is the best way to interface SPI-max6675?
 
Last edited:

Do you need a VHDL Code for implementation on a FPGA?
 

Status
Not open for further replies.

Similar threads

Cookies are required to use this site. You must accept them to continue using the site. Learn more…