Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

MATRIX INVERSION algorithm

Status
Not open for further replies.

Adnan86

Full Member level 2
Joined
Apr 4, 2013
Messages
121
Helped
26
Reputation
52
Reaction score
26
Trophy points
1,308
Activity points
2,153
any one have any algorithm for inversion of nxn matrix ?
Thanks
 
Just see this

3762045500_1365704658.jpg
 
thanks for attention but I want to say that I know how get ineversion of matrics but i want to know best algorithm till i use this algorithm for write VHDL code ...
so now what's your point .. which algorith is better .
Thank you ...

- - - Updated - - -

For NxN matrix
 
Writing good computer codes for linear algebra functions (such as matrix inversion) involves many subtleties such as considerations of stability, error propagation, etc. Much work has already done on this subject, and you should probably use already existing software for the purpose.

See:

https://en.wikipedia.org/wiki/Netlib

https://www.netlib.org/index.html
 
CAN U explain more ? how ? I CAN'T Understand ?
 

WHICH algorithm it's easier and optimum for verilog OR Vhdl ?
 

I think use A = L U .. that L and U it's triangular matrix it's better . This is my opinon . but any one that have better way plz tell
 
Last edited:
The Gaussian elimination technique it's easy to work with, and if you also want more stability you may use Gaussian elimination with pivoting and scaling technique
 
Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top