Making an FIR filter in fixed point VHDL

Status
Not open for further replies.

mr_byte31

Full Member level 5
Joined
Oct 19, 2005
Messages
295
Helped
10
Reputation
20
Reaction score
8
Trophy points
1,298
Activity points
3,241
hi all
i am making an FIR filter for practicing VHDL
what i need is :
work with fixed point like addition and mul
i use FPGA advantage 7.2 and i have xilinx 10.1 also
any suggestions??
 

vhdl fixed point

Hello,

I have the same problem, Did you solve your problem ?
 

vhdl fixpoint

Suggestions concerning what??
 

noise cancellation +digital filters +vhdl code

cld u tell us wht exactly are u expecting??
ur questn is not clear....

haneet
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…