Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for some good OVM tutorials

Status
Not open for further replies.

Digital-L0gik

Member level 2
Joined
Jan 26, 2009
Messages
44
Helped
5
Reputation
10
Reaction score
4
Trophy points
1,288
Activity points
1,577
Does any know of some good OVM tutorials? I am having trouble piecing together OVM an applying it to my design work. I have seen the OVM book but it is very heavy, dry and not beginner friendly.
 

ovm examples

You can go through the OVM manual. It is best suited for OVM beginners.. and ofcourse you can look into OVM ref. for details. Its really well documented reference. Only where it lacks is the related examples with respect to the class they have inherited. But still if u go twice u'll easly follow the OVM ref...
 

Re: OVM Tutorials?

also OVM forum you can find a lot information!
 

Re: ovm tutorial

Just to add that we at CVC www.cvcblr.com offer a detailed step-by-step OVM training in Bangalore, India. We offer 2 days, 2-weeks or even 4-weeks program on this topic. Come and take a look at what some of our attendees have to say about it - even those with 10+ years of experience have been our customers on this. No wonder, because one of our trainers (Srinivasan www.linkedin.com/in/svenka3) was originally involved in coding some of the base classes of VMM - another similar methodology and our team has produced several books, tutorials etc. on these topics.

Regards
TeamCVC
www.cvcblr.com/blog
 

Re: OVM Tutorials?

Hi All,

Can anyone please share me the book "Step-by-Step Functional Verification with SystemVerilog and OVM "[/code]
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top