Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for information about bidirectional buses

Status
Not open for further replies.

verilog_always

Member level 2
Joined
Dec 27, 2006
Messages
43
Helped
3
Reputation
6
Reaction score
1
Trophy points
1,288
Activity points
1,552
Hi,
I want some information on bidirectional buses. Can anyone provide it?
 

Re: Bidirectional bus?

back to back buffer with inverted enable pin .
 

Bidirectional bus?

Same line we can use for sending o/p and getting i/p using a ctrl signal.can you tell what exactly you are looking for?
 

Bidirectional bus?

bidirectional bus is used for transferring data to both side.... but if you tell us what you want ... then i can tell you specifically.
 

Re: Bidirectional bus?

On single line data transfers occurs on both sides by using some contrl signals
 
Bidirectional bus?

Pls check the Xilinx library documentation.
 

Bidirectional bus?

bidirectional bus is tranferring data both side
in using verilog it can be using wire
wire out=recive_data?reg to buf:8'hz;

Added after 12 seconds:

vamsi
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top