Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

LOCKup & SYNC Registers.

Status
Not open for further replies.

pandit_vlsi

Member level 3
Joined
Feb 15, 2006
Messages
62
Helped
2
Reputation
4
Reaction score
0
Trophy points
1,286
Location
b'lore,india
Activity points
1,816
lockup latch

Hi all.
1.what are LOCK-Up flops/latches and sync registers?
2.when they are used?
3.what is the advantage?

Providing pictorial explanation is appreciated.


thanks a ton,
pandit
 

lockup latches

Hello pandit_vlsi,
lockup ff/latch used to get the data latched sometimes to remove clock skew when datapath will cross over clock boundary.
sync. register which MTBF have to be guaranteed for the signal which cross boudnary.
add comments, please
 

functional lock up latches

should be Mean Time Between Failure. Sync registers can be used to pass signals from one clock domain to another asynchronous clock domain
 

functional lock up latch

lock-up latch is used in DFT, it 's the solution for the hold time violatiion .
add lock-up latch in the scan -chain
 

what is functional lockup latch

Hi,

Can I add two more queries to the same question.
1) In functional mode, when crossing clock domains we used sync-FF. In Scan mode, if we connect FFs from different clock domains in same chain, why don't we have to use sync-FFs. Metastability problem should also be there in scan-mode even though clock freq is low.

2) In scan-mode we use lock-up latches if we have to stitch FF from different clock domains in same scan chain. What trick does lock-up latch do in scan mode that we don't have to do in funcitonal mode. I mean why don't we have to use lock-up latches in funcitonal mode.

Regards
 

hi
here's a lockup latch question here~~~~

If we don't add the latch in che chain,
in test mode,if here's hold problem, p&r tool will add the delay cell here,
so the latch is not necessary

am I right????????????????
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top