Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

LM 358 - how to achieve a defined logic level at the output

Status
Not open for further replies.

Leonel Aquino

Newbie level 2
Joined
Sep 11, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
brasil
Activity points
1,295
LM 358

I have a problem:
I have a pulsed signal between 0 and 12V with frequency between 2Hz and 3,5 KHZ in the input of a LM358, i need the following exit:
1.when the input is level " 1" or " 0" the output of LM 358 will be in 6V.

2. when the input pulse, the exit will have the same input pulse signal (0 - 12V).
 

Re: LM 358

I am really confused over this. Can you show a diagram of the input signal and output signal you want to see. It is not clear what difference there is between the kind of pulses you are trying to filter.

Brian.
 

Re: LM 358

Leonel Aquino said:
I have a problem:
1.when the input is level " 1" or " 0" the output of LM 358 will be in 6V.
What is "1"? Logic one? But you have wrote
Leonel Aquino said:
I have a problem:
I have a pulsed signal between 0 and 12V
So you have to explain what do you mean: TTL, CMOS logiv level or any others?
 

Re: LM 358

What is the purpose of your circuit? Please get in touch by email (PM = send a private message). I talk portuguese and may be I can help you.
 

LM 358

Hi,

For your requirement why not use LM317?

Here you are going to control digitally. but you cannot get zero potantial directly.


Bye.
 

Re: LM 358

Hi

u can use an other OP or an LM393...because the LM358 is for some Applications not good for use...what ur supply Voltage? u have bipolar or single..
Willi
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top