Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

left shifter in vhdl---illegal sequential statement error

Status
Not open for further replies.

phobos1

Full Member level 2
Joined
Jan 18, 2010
Messages
135
Helped
15
Reputation
30
Reaction score
15
Trophy points
1,298
Location
Salem, Tamil Nadu, India
Activity points
2,112
leftshifter in vhdl

library ieee;
use ieee.std_logic_1164.all;
entity ls is
port(a:in std_logic_vector(3 downto 0);
b:eek:ut std_logic_vector(3 downto 0);
clk: in std_logic);
end ls;
architecture arch_ls of ls is
begin
process(clk)
begin
if (clk='1' and clk'event) then
g:for i in 0 to 2 generate
b(0)<='0';
b(i+1)<=a(i);
end generate;
end if;
end process;
end arch_ls;


i am getting illegal sequential statement error
........ how can i define for statement within a if statement..........
 

you want "loop" inside of a process. its for ... loop, and end loop;
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top