Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

LCD output Spartan 3E

Status
Not open for further replies.

fabynx

Newbie level 3
Joined
Nov 3, 2011
Messages
3
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,299
Hi everyone

Could someone send me an simple example of how the Spartan 3E sends data to the lcd display. Something like a stopwatch project would be nice but but nothing that shows binary on the actual LCD display please.

Thanks
Fabynx
 

electricity is the fashionable method at present.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top