Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Latches in Altera designs?

Status
Not open for further replies.

alexz

Full Member level 5
Joined
Nov 19, 2004
Messages
283
Helped
6
Reputation
12
Reaction score
3
Trophy points
1,298
Location
UK
Activity points
2,246
Latches in alera CPLDs

The following is a quote from the Altera's Recommended HDL
Coding Styles paragraph 6:

"Altera recommends that you design without the use of latches
whenever possible."

What does it mean?
 

Latches in alera CPLDs

altera timing engine can not analyze it.
 

Re: Latches in alera CPLDs

But I can still use it in the design can't I?
 

Re: Latches in alera CPLDs

Hi,

You can implement laches using asynchronous feedback paths in your cobinational logic. Probably you will have problems with time simulations.

Also you need to consider possible metastablilty problems. So don't use latch and inverted latch output at same time.
Setup and hold times are very routing dependant and upredictable.

With synchronuos solutions you can easy predict all timing parameters in your design.
 

Re: Latches in alera CPLDs

What are the disadvantages of doing the following latch?

LIBRARY ieee;
USE ieee.std_logic_1164.ALL;

entity latching is
port
(
signalIn : in std_logic_vector(15 downto 0);
signalOut : out std_logic_vector(15 downto 0);
inEn : in std_logic
);
end latching;

architecture latch_behaviour of latching is

begin
signalOut <= signalIn when inEn = '1' ;
end latch_behaviour ;
 

Latches in alera CPLDs

Alexz,

It means you are not allowed to implimet something like RS flip-flop, and async design, all of your storage, needs to sync with input clock.
It is not only Altera, it is mostly all FPGA vendors...

Good luck!!!!
 

Re: Latches in alera CPLDs

Hi,

Most macro cell architectures only support flip-flops not latches. Without this support you need to implement latches with combinational logic using feeback paths. In this case your design is very timing and routing dependant and inefficient.

So if you really don't need latches use registers. If you use programmable logic array technology you can use leatches and registers without restrictions
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top