Issues when reading from Microblaze I/O ports

Status
Not open for further replies.

jenardo

Newbie level 6
Joined
Jul 11, 2006
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
Egypt
Activity points
1,378
I used the Microblaze as a sub-module in a certain design.
I can easily write to any of the output (or input/output) ports that I defined.
But, however, when I try to read from that port that I just wrote to, the function (XGpio_DiscreteRead) just returns zeros.
This makes debugging a very difficult issue, as I can't check that certain registers (for example) that I wrote to, were correctly written.

Note:
I used input/output port instead of just output port.
I made the bus a bidirectional one.
Reading from an input port is no problem and funtions correctly.

Any help appreciated.
Thanks.
 

Re: Microblaze I/O ports

the simple explenation is that since the bus is bidirectional bus, you just see the actual bus status, i.e other device drive the bus to '0'.
 

Status
Not open for further replies.
Cookies are required to use this site. You must accept them to continue using the site. Learn more…