Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

is there any tool that could translate verilog into vhdl?

Status
Not open for further replies.

oasis

Junior Member level 1
Joined
Mar 3, 2004
Messages
18
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
158
[No message]
 

Re: is there any tool that could translate verilog into vhdl

You can use X-HDL 3.2
It is the premier Verilog <=> VHDL bi-directional translator. X-HDL performs translation of even the most complex RTL/gate-level code efficiently and requiring few, if any, "hand tweaks" of the translated code. X-HDL also contains specialized algorithms which are very effective in translating behavioral-level code to functionally equivalent target-language code.
X-HDL is supported on the following platforms:
Sun Sparc: Solaris 8 and 2.6
HP-9000: HPUX-10.2
PC-Windows: Windows 98/NT/ME/2000
PC-Linux: RedHat 7.2 and 6.*
X-HDL uses industry standard FLEXlm licensing and provides both floating and node-locked licenses.
 

Re: is there any tool that could translate verilog into vhdl

But there are some lilitations in this tool ,
It will not support Verilog 2001 features.
it convert VHDL 1987 to Verilog 1995, fetures.
 

try visual

it can read VHDL and write output in verilog
 

Re: is there any tool that could translate verilog into vhdl

Do you know a tool that translate Systemc to VHDL?
 

Re: is there any tool that could translate verilog into vhdl

gentle_man said:
try visual

it can read VHDL and write output in verilog

i don't think visual elite is a good choice.
 

Re: is there any tool that could translate verilog into vhdl

one can write vim scripts to do it
 

Re: is there any tool that could translate verilog into vhdl

harshad said:
one can write vim scripts to do it

Even a shell script can do that as well. :)
 

Re: is there any tool that could translate verilog into vhdl

We got blocking assignment in Verilog but not found in VHDL
can translater translate that ? Be very doubtful! 8)
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top